class ahb_env extends uvm_env; `uvm_component_utils(ahb_env) ahb_magent ahb_magent_h; virtual ahb_if dut_env_vi_app_m0; function new(string name , uvm_component parent); super.new(name,parent); endfunction: new function void build_phase(uvm_phase phase); ahb_magent_h = ahb_magent::type_id::create("ahb_magent_h",this); assert (uvm_config_db #(virtual ahb_if)::get(this,"","dut_vi_app_m0",ahb_magent_h.dut_agent_vi)); endfunction: build_phase endclass: ahb_env