class ahb_test extends uvm_test;
  `uvm_component_utils(ahb_test)
  ahb_env ahb_env_h; //handle to env

  function new(string name, uvm_component parent);
    super.new(name,parent);
  endfunction: new

  function void build_phase(uvm_phase phase);
    ahb_env_h = ahb_env::type_id::create("ahb_env_h",this);
  endfunction: build_phase
endclass: ahb_test

: